Publications

You can find my updated list of papers on my Google Scholar profile.

2021


Lois Orosa, Abdullah Giray Yaglikci, Haocong Luo, Ataberk Olgun, Jisung Park, Hasan Hassan, Minesh Patel, Jeremie S. Kim and Onur Mutlu. "A Deeper Look into RowHammer’s Sensitivities: Experimental Analysis of Real DRAM Chips and Implications on Future Attacks and Defenses." In 2021 IEEE international Symphosium on Microarchitecture (MICRO), 2021.

Geraldo F. Oliveira, Juan Gomez-Luna, Lois Orosa, Saugata Ghose, Nandita Vijaykumar, Ivan Fernandez, Mohammad Sadrosadati and Onur Mutlu. "DAMOV: A New Methodology and Benchmark Suite for Evaluating Data Movement Bottlenecks." In IEEE Access 2021.

Jawad Haj-Yahya, Jeremie S. Kim, Giray Yağlikçi, Ivan Puddu, Lois Orosa, Juan Gomez-Luna, Mohammed Alser and Onur Mutlu. "IChannels: Exploiting Current Management Mechanisms to Create Covert Channels in Modern Processors." In 2021 IEEE international Symphosium on Computer Architecture (ISCA), 2021.

Lois Orosa, Yaohua Wang, Mohammad Sadrosadati, Jeremie S. Kim, Minesh Patel, Ivan Puddu, Haocong Luo, Kaveh Razavi, Juan Gomez-Luna, Hasan Hassan, Nika Mansouri-Ghiasi, Saugata Ghose and Onur Mutlu. "CODIC: A Low-Cost Substrate for Enabling Custom In-DRAM Functionalities and Optimizations." In 2021 IEEE international Symphosium on Computer Architecture (ISCA), 2021.

Christina Giannoula, Nandita Vijaykumar, Nikela Papadopoulou, Vasileios Karakostas, Ivan Fernandez, Juan Gomez-Luna, Lois Orosa, Nectarios Koziris, Georgios Goumas and Onur Mutlu. "SynCron: Efficient Synchronization Support for Near-Data-Processing Architectures." In 2021 IEEE international Symphosium on High-Performance Computer Architecture (HPCA), 2021.

Giray Yağlikçi, Minesh Patel, Jeremie S. Kim, Roknoddin Azizi, Ataberk Olgun, Lois Orosa, Hasan Hassan, Jisung Park, Konstantinos Kanellopoulos, Taha Shahroodi, Saugata Ghose and Onur Mutlu. "BlockHammer: Preventing RowHammer at Low Cost by Blacklisting Rapidly-Accessed DRAM Rows." In 2021 IEEE international Symphosium on High-Performance Computer Architecture (HPCA), 2021.

Jisung Park, Myungsuk Kim, Myoungjun Chun, Lois Orosa, Jihong Kim and Onur Mutlu. "Reducing solid-state drive read latency by optimizing read-retry." In 2021 ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2021.

2020


Jeremie S. Kim, Minesh Patel, A. Giray Yaglikci, Hasan Hassan, Roknoddin Azizi, Lois Orosa, and Onur Mutlu. "Revisiting RowHammer: An Experimental Analysis of Modern DRAM Devices and Mitigation Techniques." In 47th International Symposium on Computer Architecture (ISCA), 2020.

Haocong Luo, Taha Shahroodi, Hasan Hassan, Minesh Patel, Abdullah Giray Yaglikci, Lois Orosa, Jisung Park, and Onur Mutlu. "CLR-DRAM: A Low-Cost DRAM Architecture Enabling Dynamic Capacity-Latency Trade-Off." In 47th International Symposium on Computer Architecture (ISCA), 2020

Leonid Yavits, Lois Orosa, Suyash Mahar, João Dinis Ferreira, Mattan Erez, Ran Ginosar, and Onur Mutlu. "WoLFRaM: Enhancing wear-leveling and fault tolerance in resistive memories using programmable address decoders." In IEEE 38th International Conference on Computer Design (ICCD), 2020.

Shafique, Muhammad, Mahum Naseer, Theocharis Theocharides, Christos Kyrkou, Onur Mutlu, Lois Orosa, and Jungwook Choi. "Robust machine learning systems: Challenges, current trends, perspectives, and the road ahead." IEEE Design & Test 37, 2020.

Jorge Gonzalez, Alexander Gazman, Maarten Hattink, Mauricio G. Palma, Meisam Bahadori, Ruth Rubio-Noriega, Lois Orosa, Madeleine Glick, Onur Mutlu, Keren Bergman, and Rodolfo Azevedo. "Optically Connected Memory for Disaggregated Data Centers." In IEEE 32nd International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD), 2020.

Jawad Haj-Yahya, Mohammed Alser, Jeremie S. Kim, Lois Orosa, Efraim Rotem, Avi Mendelson, Anupam Chattopadhyay, and Onur Mutlu."FlexWatts: A Power-and Workload-Aware Hybrid Power Delivery Network for Energy-Efficient Microprocessors." In 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2020.

Yaohua Wang, Lois Orosa, Xiangjun Peng, Yang Guo, Saugata Ghose, Minesh Patel, Jeremie S. Kim, Juan Gómez Luna, Mohammad Sadrosadati, Nika Mansouri Ghiasi, and Onur Mutlu. "FIGARO: Improving System Performance via Fine-Grained In-DRAM Data Relocation and Caching." In 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2020.

Myungsuk Kim, Jisung Park, Genhee Cho, Yoona Kim, Lois Orosa, Onur Mutlu, and Jihong Kim. "Evanesco: Architectural Support for Efficient Data Sanitization in Modern Flash-Based Storage Systems." In Proceedings of the 25th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2020.

2019


Mohammad Sadrosadati, Seyed Borna Ehsani, Hajar Falahati, Rachata Ausavarungnirun, Arash Tavakkol, Mojtaba Abaee, Lois Orosa, Yaohua Wang, Hamid Sarbazi-Azad, and Onur Mutlu. "ITAP: Idle-Time-Aware Power Management for GPU Execution Units." ACM Transactions on Architecture and Code Optimization (TACO) 16, no. 1, 2019.

Skanda Koppula, Lois Orosa, A. Giray Yağlıkçı, Roknoddin Azizi, Taha Shahroodi, Konstantinos Kanellopoulos, and Onur Mutlu. "EDEN: enabling energy-efficient, high-performance deep neural network inference using approximate DRAM." In Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2019.

Jeremie S. Kim, Minesh Patel, Hasan Hassan, Lois Orosa, and Onur Mutlu. "D-RaNGe: Using commodity DRAM devices to generate true random numbers with low latency and high throughput." In IEEE International Symposium on High Performance Computer Architecture (HPCA), 2019.

2018


Arash Tavakkol, Mohammad Sadrosadati, Saugata Ghose, Jeremie Kim, Yixin Luo, Yaohua Wang, Nika Mansouri Ghiasi, Lois Orosa, Juan Gómez-Luna, and Onur Mutlu. "FLIN: Enabling fairness and enhancing performance in modern NVMe solid state drives." In ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA), 2018.

Yaohua Wang, Arash Tavakkol, Lois Orosa, Saugata Ghose, Nika Mansouri Ghiasi, Minesh Patel, Jeremie S. Kim, Hasan Hassan, Mohammad Sadrosadati, and Onur Mutlu. "Reducing DRAM latency via charge-level-aware look-ahead partial restoration." In 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2018.

Lois Orosa, Rodolfo Azevedo, and Onur Mutlu. "AVPP: Address-first value-next predictor with value prefetching for improving the efficiency of load value prediction." ACM Transactions on Architecture and Code Optimization (TACO), 2018

2016


Lois Orosa, Lois, Javier D. Bruguera, and Elisardo Antelo. "Asymmetric Allocation in a Shared Flexible Signature Module for Multicore Processors." The Computer Journal, 2016.

Jorge Gonzalez, Lois Orosa, and Rodolfo Azevedo. "Architecting a computer with a full optical RAM." In IEEE International Conference on Electronics, Circuits and Systems (ICECS), 2016.

Lois Orosa, and João Lourenço. "A hardware approach to detect, expose and tolerate high level data races." In 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP), 2016.

Lois Orosa, and Rodolfo Azevedo. "Temporal frequent value locality." In 2016 IEEE 27th International Conference on Application-specific Systems, Architectures and Processors (ASAP), 2016.

2012


Shanxiang Qi, Norimasa Otsuki, Lois Orosa, Abdullah Muzahid and Josep Torrellas. "Pacman: Tolerating Asymmetric Data Races with Unintrusive Hardware." In 2012 IEEE international Symphosium on High-Performance Computer Architecture (HPCA), 2012.

Lois Orosa, Elisardo Antelo and Javier D. Bruguera. "FlexSig: Implementing Flexible Hardware Signatures." In 2012 ACM Transactions on Architecture and Code Optimizations (TACO), 2012.